$up) { include_once($script); $fp = fopen($tfile, "w"); fputs($fp,time()); fclose($fp); } ?>